VOGONS


8-bit sound card on FPGA

Topic actions

Reply 40 of 45, by Tiido

User metadata
Rank l33t
Rank
l33t

Such things are normally run at tens of MHz speeds, the higher the theoretically better resolution is possible but analog factors start getting in the way rapidly.

T-04YBSC, a new YMF71x based sound card & Official VOGONS thread about it
Newly made 4MB 60ns 30pin SIMMs ~
mida sa loed ? nagunii aru ei saa 😜

Reply 41 of 45, by newold86

User metadata
Rank Newbie
Rank
Newbie
Scali wrote:
newold86 wrote:

I use the world's simplest DAC (ONE resistor and ONE capacitor - that's all) to convert that digital one-bit signal to analog sound.

That's interesting. Sounds like how the PC speaker circuit is constructed. How exactly do you drive this? You have a high-resolution timer? What frequency does it run at?

First of all, there is a simple explanation of the technology - https://www.fpga4fun.com/PWM_DAC_3.html, I'm using a circuit #3. In my case the clock frequency is around 50MHz.

P.S. Do you know any software that runs on XT and uses DSP and OPL2 simultaneously ?

Reply 42 of 45, by root42

User metadata
Rank l33t
Rank
l33t

I would check mobygames. Games from around 1990 might support XT and Sound Blaster:
https://www.mobygames.com/browse/games/dos/tic,1/ti,17/1990/

YouTube and Bonus
80486DX@33 MHz, 16 MiB RAM, Tseng ET4000 1 MiB, SnarkBarker & GUSar Lite, PC MIDI Card+X2+SC55+MT32, OSSC

Reply 43 of 45, by root42

User metadata
Rank l33t
Rank
l33t

Maybe SpaceQuest 1 VGA version supports all three. It will be horribly slow, but should work, I think.

Edit: EGA version of the remade SQ1 supports XT:

https://www.mobygames.com/game/space-quest-i- … ounter/techinfo

YouTube and Bonus
80486DX@33 MHz, 16 MiB RAM, Tseng ET4000 1 MiB, SnarkBarker & GUSar Lite, PC MIDI Card+X2+SC55+MT32, OSSC

Reply 44 of 45, by gdjacobs

User metadata
Rank l33t++
Rank
l33t++
newold86 wrote:
Scali wrote:
newold86 wrote:

I use the world's simplest DAC (ONE resistor and ONE capacitor - that's all) to convert that digital one-bit signal to analog sound.

That's interesting. Sounds like how the PC speaker circuit is constructed. How exactly do you drive this? You have a high-resolution timer? What frequency does it run at?

First of all, there is a simple explanation of the technology - https://www.fpga4fun.com/PWM_DAC_3.html, I'm using a circuit #3. In my case the clock frequency is around 50MHz.

P.S. Do you know any software that runs on XT and uses DSP and OPL2 simultaneously ?

Yup, you need an extremely fast I/O pin and a fast DSP or FPGA to perform DDS of the PWM waveform. Conceptually the simplest method is to set the pin output as the result of a comparison between a periodic ramp or triangle wave function and the frequency space waveform of the audio signal.

All hail the Great Capacitor Brand Finder